DAシンポジウム2015

DAシンポジウム2015 - システムとLSIの設計技術 -

 システムやLSIの設計技術は、情報家電、携帯機器、コンピュータ、ネットワークシステムなど現在および将来の電子装置の進歩を支える設計のキーテクノロジであります。そのテクノロジを支える中心的技術の一つである設計自動化(DA)技術は、RTL・論理レベル、レイアウト、テストといった各分野において飛躍的な進歩を遂げてきました。近年、集積回路微細化技術の進展によりシステムLSIが実現可能となり、従来のDA分野にソフトウェア、ハードウェア協調設計や組み込みシステム設計方法論などが加わり、システムレベル設計技術とよばれる新たな分野を形成しております。また、実際の設計に適用してシステムやLSIの性能評価などにも関心が高まりつつあります。設計言語、設計資産(IP)などの国際的な標準化活動も活発化しております。
 本シンポジウムではこうしたシステムとLSIの設計技術全般の進展に貢献するべく、最新の技術動向や研究内容などの技術情報の交換を目的として発表と討論の場を提供するものです。参加ご希望の方は、期日までにお申込み下さい。

日  時
  2015年8月26日(水)13:00~17:45 (受付12:00開始。夕食会19:00~)
        8月27日(木) 9:00~17:50(夕食会19:00~)
        8月28日(金) 9:00~12:10

会  場
  山代温泉 ゆのくに天祥(石川県加賀市山代温泉19-49-1)
     Tel:0761-77-1234 HP: http://tensyo.yunokuni.jp/

主  催
  情報処理学会 システムとLSIの設計技術研究会(SLDM)
      http://www.sig-sldm.org/

連続開催 VDECデザイナーズフォーラム 2015
      http://www.vdec.u-tokyo.ac.jp/DesignersForum/index.html

参加ご希望の方は、期日までに以下の方法にてお申込み下さい。
 

参加申込

申込締切:2015年7月17日(金)→31日(金)延長しました!  申込は締め切らせていただきました。

参加費(いずれも会議費、論文集代、宿泊費を含む。消費税込)
SLDM研究会登録会員(一般)
42,000円
SLDM研究会登録会員(学生)
36,000円
情報処理学会正会員
47,000円
非会員
50,000円
論文集のみ
 6,000円

※宿泊は基本的に4名程度の相部屋(男女別、分煙)になります。
 部屋割りにつきましては幹事団に御一任をお願いしています。

※個室については、数が限られることと、差額を直接ホテルに精算して頂くことになります。
 ご希望でしたら申込の際、連絡事項欄にその旨ご記入ください。

※学生で情報処理学会非会員の方は、情報処理学会学生会員(年会費\4,800)とSLDM研究会会員(情報
 処理学会学生会員に申し込んだ方は1研究会目の申し込みは無料)に同時にお申し込み頂くと、
 「SLDM研究会登録会員(学生)」が適用されます。現在申し込み中の場合でも適用されます。

申込方法

学会Webページの行事申込よりお申込み下さい。 申込は締め切らせていただきました。
※2009年度より参加費のお支払い方法に当日の現金払いがなくなりました。事前の振込みをお願いします。
 (原則8月末ですが、9月以降になる場合は、その旨ご連絡ください。)

※論文集のみの場合は申込方法が異なります。
以下の内容を、9月30日までに研究部門宛にE-mail(sig"at"ipsj.or.jp)にてお送り下さい。
電子図書館(情報学広場)からダウンロードするためのチケット番号をメールにてお送りします。
論文集の詳細は下記「論文集について」の項をご参照ください。
    [氏名 、勤務先、送付先住所(勤務先 / 自宅)、郵便番号、住所、Tel 、E-mail、
      請求書 :  通、 見積書 :  通、 納品書 :  通、請求書記載名義]

  ◆参加申込に関する注意点◆
  • 参加申込後、やむを得ず参加を取り消される場合は、申込締切日までにご連絡をお願いします。取り消しの連絡のない場合は、準備の都合上、参加費を徴収いたします。
  • DAシンポジウム2015参加申込みをした時点で参加章引換券を兼ねた申込受信確認のメールを自動送信いたします。受領確認のメールをプリントアウトして、当日お持ちいただき、受付にご提出下さい。万一、上記メールが届かなかった場合、お手数ですが下記の【申込/照会先】まで、電話またはE-mailにてご連絡下さい。(参加章引換券、プログラム、会場案内等の郵送は行いません。会場案内等は、頭記 URL にてご確認下さい。)
  • 本行事申込書に記載の個人情報は、本シンポジウム運営(例えば、部屋割り作業や部屋割り名簿での氏名開示なども含む)以外の目的に使用いたしません。
  • DAシンポジウムは、自由闊達な議論を行うために、カジュアルな服装での参加を推奨しています。

振込先

こちらのページのいずれかに振込みをお願いいたします。
クレジットカード決済でのお支払をご希望の方には、参加申込後に決済方法と請求書番号をメールでお送りしますので、オンラインでクレジットカード決済を行って下さい。
※クレジットカード決済を選択される場合は、「クレジットカード決済システム導入についてのご案内」のページを事前に必ずご確認ください。
※請求書は希望された方にのみお送りします。
 

請求書・領収書について

情報処理学会が発行するDAシンポジウムの請求書・領収書の額は、上記参加費の通りとなります。宿泊費、参加費個々の内訳は請求書に記載されません。参加費個々の内訳に関しては、当日、別紙にてお渡しいたします。
 

申込/照会先

〒101-0062 東京都千代田区神田駿河台1-5 化学会館4F
一般社団法人 情報処理学会 研究部門 シンポジウム係
E-mail:sig"at"ipsj.or.jp("at"を@に置き換えて下さい) Tel(03)3518-8372 Fax(03)3518-8375

 

特別企画

◆DAS基調講演
講演タイトル:「組合せ最適化問題を効率的に解くCMOSイジングコンピュータ」
講演者:山岡 雅直 (日立製作所)
概要: 近い将来、社会で用いられるさまざまなシステムの最適化が必要となる。システムの最適化には、組合せ最適化問題を解く技術がキー技術となると考えられる。組合せ最適化問題を効率よく解く手法として、イジングモデルを用いたCMOSイジング計算機を提案した。イジング計算機では、組合せ最適化問題を磁性体のスピンの挙動を表すイジングモデルに写像し、その収束動作により問題を解く。このたび、この収束動作を半導体回路で行う20kスピンを含んだCMOSイジングチップを、65nmプロセスで試作し、実際に組合せ最適化問題が解けることを確認したので、その結果について紹介する。

◆DAS招待講演
講演タイトル:「耐タンパセキュリティハードウエアの開発と車載システムへの応用」
講演者:藤野 毅 (立命館大学)
概要: 暗号回路を搭載したLSIは、クレジットカードや交通系非接触カードなどにおける利用者認証などの用途で広く使用されている。認証の安全性は、LSIの中に格納された秘密鍵が第3者に知られないことを前提にしている。一方、秘密鍵を窃取したい悪意ある攻撃者の手法は、暗号回路の動作を用いて鍵を窃取する方法と、鍵を格納しているメモリから窃取する方法の2種類に分類できる。前者に属する強力な攻撃としては、暗号回路が動作しているときの消費電力や電磁波等のサイドチャネル情報を利用する手法がある。本手法は、一般的な実験装置であるオシロスコープとPCを用いれば、暗号回路を数分動作させるだけで鍵を窃取できる強力な攻撃手法であり、サイドチャネル情報をリークさせない暗号回路の設計技術が必須となっている。後者では、秘密鍵の複製を防止する対策手法として、複製不可能デバイス(PUF: Physical Unclonable Function)を用いる手法がある。PUFを用いると、LSI製造時のトランジスタなどの性能ばらつきを用いて、固体固有のIDを生成することが可能であり、かつこのIDは複製不可能であるという特徴を持つ。本IDを用いて秘密鍵を暗号化した状態でメモリに保管することにより、攻撃者にメモリ内容を不正に読み出されたとしても、秘密鍵情報を取得できないようにすることができる。講演では、サイドチャネル攻撃を防止できる耐タンパ共通鍵暗号回路の設計技術とPUFを用いた暗号鍵の安全な格納技術に関して解説する。さらに、これらを用いた車載システムへの応用として、PUFを用いたキーレスエントリシステムについて紹介する。

◆DAS招待講演
講演タイトル:「JavaベースのFPGA向け高位合成処理系の実装と活用事例」
講演者:三好 健文 (イーツリーズ・ジャパン/わさらぼ)
概要: FPGAによるハードウェア開発コストの軽減を目的に、Javaプログラムを合成可能なVHDL/Verilog HDLに変換する処理系Synthesijerを開発しています。パソコン上でソフトウェアとして実行し動作を確認したJavaプログラムをハードウェア化できるため、機能レベルのデバッグコストの削減が期待できます。本発表では、FPGAを使った開発案件に携わる一技術者の立場からみた高位合成技術の動向を踏まえた上で、Synthesijerの実装と活用事例を紹介します。また、EDA研究ツールとしてのJavaおよびOpenJDKの使い方を紹介します。
 ・三好様 講演資料(外部リンク)
http://www.slideshare.net/miyox/das-2015-52566708

◆DAS招待講演
講演タイトル:「車載半導体の進化の方向性 —高位設計技術の適用とその課題の視点から」
講演者:石原 秀昭 (デンソー)
概要: クルマの21世紀における進化の方向性は、エネルギー革新、自動運転、常時接続などであるが、いずれも半導体イノベーションがその牽引役と考えられる。そこで、その主要なコア技術として、高性能マイクロプロセッサや高度センシングなどに求められるトータル設計方法論にフォーカスし、民生技術との違いやその歴史俯瞰を交えて将来を展望する。

◆VDECデザイナーズフォーラム/DAS合同基調講演
講演タイトル:「IoT時代のLSI技術の役割と期待 ~新サービス創出のイネーブラとして~」
講演者:森村浩季(NTT)
概要: IoT(Internet of Things)の普及が進むと、実世界とサイバースペースが融合したサイバーフィジカルシステム(CPS)が現実味を帯びてくる。その変革は、第4次産業革命とも呼ばれ、その到来時期は2030年頃とみられている。そのような大きな潮流を踏まえ、LSI技術の果たす役割は今まで以上に重要になってきているとともに、クラウド、ビッグデータといった上位で動作する情報処理システムと連携した新たなサービス創造のイネーブラとして大きな役割が期待される。本講演では、LSI技術が果たしてきた役割を俯瞰しながら、ビジネス優位性をも左右するキーデバイスの研究開発の在り方や将来展望について述べるとともに、それらを踏まえて若手研究者に向けたキャリア形成についてメッセージを贈る。


2日目午後は、以下の2企画を同時開催します。
◆アルゴリズムデザインコンテスト
 昨年に引き続き、ナンバーリンクパズルの解法と速度を競うアルゴリズムデザインコンテストを実施
 致します。 (「ナンバーリンク」は株式会社ニコリの登録商標です)
http://www.sig-sldm.org/designcontest.html
◆ポスターセッション

プログラム

8月26日(水)

●[13:00-13:10]DAシンポジウム2015開会の挨拶

●[13:10-14:10]セッション1A 基調講演
   座長:福井正博(立命館大学)
(1A-1) 組合せ最適化問題を効率的に解くCMOSイジングコンピュータ
    山岡雅直(日立製作所)

●[14:20-15:20]セッション2A 招待講演   座長:福井正博(立命館大学)
(2A-1) 耐タンパセキュリティハードウエアの開発と車載システムへの応用
    藤野毅(立命館大学)

●[15:40-17:45]セッション3A システム設計・高位設計   座長:若林一敏(NEC)
(3A-1) Duplication with Temporary Triple Modular Redundancy and Reconfigurationのためのタスク
    割り当て手法
    ○齋藤寛(会津大学),米田友洋(NII),今井雅(弘前大学)
(3A-2) クラスタリングによる書き込みビット数削減と誤り訂正を実現する不揮発メモリを対象とした符号
    の構成手法
    ○古城辰朗(早稲田大学),多和田雅師,柳澤政生,戸川望(早稲田大学)
(3A-3) 演算チェイニングの候補列挙・選択アルゴリズムを用いたフロアプラン指向高位合成手法
    ○寺田晃太朗(早稲田大学),柳澤政生,戸川望(早稲田大学)
(3A-4) 基板バイアス制御による遅延ばらつき補償および配線遅延を考慮した低エネルギー
    オーバーヘッド指向の高位合成手法
    ○井川昂輝(早稲田大学),史又華,柳澤政生,戸川望(早稲田大学)
(3A-5) ローテータベースマルチプレクサネットワークによるフィールドデータ抽出器の構成手法
    ○伊東光希(早稲田大学),川村一志(早稲田大学),田宮豊(富士通研),
    柳澤政生,戸川望(早稲田大学)

●[15:40-17:45]セッション3B 信頼性1   座長:橋本昌宜(大阪大学)
(3B-1) PHITS-TCADシミュレーションによる完全空乏型SOIプロセスにおけるBOX層の厚さと基板
    バイアスによるソフトエラー耐性の評価
    ○張魁元(京都工芸繊維大学),神田翔平,山口潤己,古田潤,小林和淑(京都工芸繊維大学)
(3B-2) 28nm UTBB FD-SOI プロセスにおけるデバイスシミュレーションによるソフトエラー耐性の評価
    ○梅原成宏(京都工芸繊維大学),張魁元,一二三潤,古田潤,小林和淑(京都工芸繊維大学)
(3B-3) 28 nm UTBB FD-SOI プロセスにおけるα線照射による低電圧動作時のFFのソフトエラー
    耐性評価
    ○一二三潤(京都工芸繊維大学),
    曽根崎詠二,山口潤己,古田潤,小林和淑(京都工芸繊維大学)
(3B-4) 65nmFD-SOIプロセスにおける非冗長化耐ソフトエラーフリップフロップのエラー耐性評価
    ○山口潤己(京都工芸繊維大学),古田潤,小林和淑(京都工芸繊維大学)
(3B-5) 65nm 薄膜 FD-SOIとバルクプロセスにおけるアンテナダイオード起因ソフトエラーの実測と評価
    ○曽根崎詠二(京都工芸繊維大学),古田潤,小林和淑(京都工芸繊維大学)


8月27日(木)

●[9:00-10:40]セッション4A 設計事例1   座長:武内良典(大阪大学)
(4A-1) 教育用FPGAマイコンシステムの開発
    ○岩河秀知(高知大),村岡道明(高知大)
(4A-2) 全画面大腸内視鏡画像に適したリアルタイム特徴量抽出のFPGA実装
    ○清水達也(広島大学),杉幸樹,小出哲士,岡本拓巳,Anh-Tuan Hoang,佐藤光,
    玉木徹,Bisser Raytchev,金田和文(広島大学),
    吉田成人,三重野寛(JR西日本 広島鉄道病院),田中信治(広島大学)
(4A-3) 大腸内視鏡診断支援のための高速Visual Word特徴量変換のFPGA実装
    ○杉幸樹(広島大学),小出哲士,清水達也,岡本拓巳,Anh-Tuan Hoang,佐藤光,
    玉木徹,Bisser Raytchev,金田和文(広島大学),
    吉田成人,三重野寛(JR西日本 広島鉄道病院),田中信治(広島大学)
(4A-4) 大腸内視鏡画像のタイプ識別に適したSVMのFPGA実装
    岡本拓巳(広島大学),小出哲士,清水達也,杉幸樹,○Anh-Tuan Hoang,佐藤光,
    玉木徹,Bisser Raytchev,金田和文(広島大学),
    吉田成人,三重野寛(JR西日本 広島鉄道病院),田中信治(広島大学)

●[9:00-10:15]セッション4B 信頼性2   座長:石原亨(京都大学)
(4B-1) 回路シミュレーションを用いたランダムテレグラフノイズのパラメータ依存性の評価
    ○大島梓(京都工芸繊維大学),Pieter Weckx,Ben Kaczer(imec),
    小林和淑(京都工芸繊維大学),松本高士(東京大学)
(4B-2) 再構成可能なリングオシレータを用いたランダムテレグラフノイズの統計解析
    ○中井辰哉(京都大学),イスラムマーフズル(東京大学),小野寺秀俊(京都大学)
(4B-3) 65nmバルクおよびSOTBプロセスでのアンテナ比による製造時劣化の測定と評価
    ○岸田亮(京都工芸繊維大学),小林和淑(京都工芸繊維大学)

●[11:00-12:00]セッション5A 招待講演   座長:中原啓貴(愛媛大学)
(5A-1) JavaベースのFPGA向け高位合成処理系の実装と活用事例
    三好健文(イーツリーズ・ジャパン/わさらぼ)
    ・三好様 講演資料(外部リンク)
    http://www.slideshare.net/miyox/das-2015-52566708

●[13:00-14:30]セッション6A アルゴリズムデザインコンテストとポスターセッション

(ADC-1) 整数計画を用いたナンバーリンクの解法
    ○滝田潤(東京工業大学),
    高橋佑典,昆野修平,八木祐樹,宮内敦史,河瀬康志,松井知己(東京工業大学)
(ADC-2) SAT型制約ソルバーによるナンバーリンクの求解と解の最適化
    ○迫龍哉(神戸大学),川原征大,田村直之,番原睦則,宋剛秀(神戸大学),
    鍋島英知(山梨大学)
(ADC-3) 機械学習を用いたナンバーリンクソルバ
    ○寺田晃太朗(早稲田大学),川村一志,多和田雅師,藤原晃一,戸川望(早稲田大学)
(ADC-4) 配線経路と区間を用いたナンバーリンクの位相的な配線問題化
    ○田中雄一郎(ルネサスエレクトロニクス)
(ADC-5) SATソルバとグラフ探索を用いたナンバーリンクソルバ
    ○松永裕介(九州大学),松永多苗子(九州産業大学)
(ADC-6) ZDDの幅を制限したフロンティア法によるナンバーリンクの解探索
    ○鈴木浩史,孫浩,湊真一(北海道大学)
(ADC-7) ヒューリスティックな経路削減に基づく深さ優先探索ナンバーリンクソルバ
    ○藤田雄也,業天英範,氏家隆之,大石一輝,森田俊平(京都大学)

(P-1) Single Layer Routing based on Wire Direction Determined by Region Partition
    ○Yang Tian(Waseda University),Takahiro Watanabe(Waseda University)
(P-2) 教育用FPGAマイコンシステムの開発
    ○岩河秀知(高知大学),村岡道明(高知大学)
(P-3) 全画面大腸内視鏡画像に適したリアルタイム特徴量抽出のFPGA実装
    ○清水達也(広島大学),杉幸樹,小出哲士,岡本拓巳,Anh-Tuan Hoang,佐藤光,
    玉木徹,Bisser Raytchev,金田和文(広島大学),
    吉田成人,三重野寛(JR西日本 広島鉄道病院),田中信治(広島大学)
(P-4) 大腸内視鏡診断支援のための高速Visual Word特徴量変換のFPGA実装
    ○杉幸樹(広島大学),小出哲士,清水達也,岡本拓巳,Anh-Tuan Hoang,佐藤光,
    玉木徹,Bisser Raytchev,金田和文(広島大学),
    吉田成人,三重野寛(JR西日本 広島鉄道病院),田中信治(広島大学)
(P-5) 大腸内視鏡画像のタイプ識別に適したSVMのFPGA実装
    岡本拓巳(広島大学),小出哲士,清水達也,杉幸樹,Anh-Tuan Hoang,○佐藤光,
    玉木徹,Bisser Raytchev,金田和文(広島大学),
    吉田成人,三重野寛(JR西日本 広島鉄道病院),田中信治(広島大学)
(P-6) 遅延プログラマブル素子の製造ばらつきを考慮したクロックスキュー調整手法の提案
    ○室岡大二郎,董青,高島康裕,中武繁寿(北九州市立大学)
(P-7) クラスタリングによる書き込みビット数削減と誤り訂正を実現する不揮発メモリを対象とした符号
    の構成手法
    ○古城辰朗(早稲田大学),多和田雅師,柳澤政生,戸川望(早稲田大学)
(P-8) 基板バイアス制御による遅延ばらつき補償および配線遅延を考慮した低エネルギーオーバー
    ヘッド指向の高位合成手法
    ○井川昂輝(早稲田大学),史又華,柳澤政生,戸川望(早稲田大学)
(P-9) ローテータベースマルチプレクサネットワークによるフィールドデータ抽出器の構成手法
    ○伊東光希(早稲田大学),川村一志(早稲田大学),田宮豊(富士通研),
    柳澤政生,戸川望(早稲田大学)
(P-10) セレクタ論理に帰着させたアルファブレンド演算器を用いた画像間合成回路のFPGA実装
    ○五十嵐啓太(早稲田大学),柳澤政生,戸川望(早稲田大学)
(P-11) 低電力IoTデバイスを対象とするノイズに強く測定の長さが制約されない小型電力解析装置
    の設計
    ○北山遼育(早稲田大学),竹中崇(NEC),柳澤政生,戸川望(早稲田大学)
(P-12) Mask Manufacturability Aware Post OPC Algorithm for Optical Lithography
    ○Ahmed Awad(Tokyo Institute of Technology),
    Atsushi Takahashi(Tokyo Institute of Technology)
(P-13) 28 nm UTBB FD-SOI プロセスにおけるα線照射による低電圧動作時のFFのソフトエラー
    耐性評価
    ○一二三潤(京都工芸繊維大学),
    曽根崎詠二,山口潤己,古田潤,小林和淑(京都工芸繊維大学)
(P-14) Self-Aligned Quadruple Patterningのための配線パターンの効率的な生成手法
    ○井原岳志(東京工業大学),高橋篤司,本江俊幸(東京工業大学)

●[14:50-16:30]セッション7A 物理設計・回路設計   座長:小平行秀(会津大学)
(7A-1) Mask Manufacturability Aware Post OPC Algorithm for Optical Lithography
    ○Ahmed Awad(Tokyo Institute of Technology),
    Atsushi Takahashi(Tokyo Institute of Technology)
(7A-2) Self-Aligned Quadruple Patterningのための配線パターンの効率的な生成手法
    ○井原岳志(東京工業大学),高橋篤司,本江俊幸(東京工業大学)
(7A-3) 遅延プログラマブル素子の製造ばらつきを考慮したクロックスキュー調整手法の提案
    ○室岡大二郎,董青,高島康裕,中武繁寿(北九州市立大学)
(7A-4) 統計的タイミングモデルに基づくニアスレッショルド回路のゲートサイジング
    ○塩見準(京都大学),石原亨,小野寺秀俊(京都大学)

●[14:50-16:30]セッション7B 設計事例2   座長:小林悠記(NEC)
(7B-1) セレクタ論理に帰着させたアルファブレンド演算器を用いた画像間合成回路のFPGA実装
    ○五十嵐啓太(早稲田大学),柳澤政生,戸川望(早稲田大学)
(7B-2) レート歪み最適化による量子化プロセスのハードウェア化に関する一検討
    ○森口元気(近畿大学),神戸尚志(近畿大学),藤田玄(大阪電気通信大学)
(7B-3) 動画圧縮技術における高速・汎用SAD計算回路設計の一検討
    ○今久保彰一(近畿大学),神戸尚志(近畿大学),藤田玄(大阪電気通信大学)
(7B-4) 低電力IoTデバイスを対象とするノイズに強く測定の長さが制約されない小型電力解析装置
    の設計
    ○北山遼育(早稲田大学),竹中崇(NEC),柳澤政生,戸川望(早稲田大学)

●[16:50-17:50]セッション8A 招待講演   座長:村岡道明(高知大学)
(8A-1) 車載半導体の進化の方向性 —高位設計技術の適用とその課題の視点から
    石原秀昭(デンソー)


8月28日(金)

●[9:00-10:40]セッション9A 回路・デバイスモデル
   座長:松岡英俊(富士通研究所)
(9A-1) デバイス特性の経年劣化に起因する不良確率変化の効率的な解析手法
    ○粟野皓光(京都大学),廣本正之,佐藤高史(京都大学)
(9A-2) プロセスコーナーモデルとBTIばらつきを考慮したタイミング解析手法
    ○籔内美智太郎(京都工芸繊維大学),小林和淑(京都工芸繊維大学)
(9A-3) Fast estimation on NBTI-induced delay degradation based on signal probability
    ○Song Bian(Kyoto University),
    Michihiro Shintani,Masayuki Hiromoto,Takashi Sato(Kyoto University)
(9A-4) サブスレッショルド領域におけるラッチ回路の動作安定性モデル
    ○鎌苅竜也(京都大学),塩見準,石原亨,小野寺秀俊(京都大学)

●[9:00-10:40]セッション9B 検証・テスト   座長:大竹哲史(大分大学)
(9B-1) 電源ノイズ起因タイミング故障のデバッグにおけるC言語ベース故障検出手法の有効性評価
    ○増田豊(大阪大学),橋本昌宜,尾上孝雄(大阪大学)
(9B-2) VLSI設計工程時における未遷移信号線情報に基づいたトロイ回路検出法
    ○坊屋鋪知拓(日本大学),細川利典(日本大学),吉村正義(京都産業大学)
(9B-3) リングオシレータを用いたFPGA上の完全デジタル温度モニタ
    ○三宅庸資(九州工業大学),佐藤康夫,梶原誠司(九州工業大学)
(9B-4) SATソルバによる両立故障集合検査を用いたテストパタン圧縮手法について
    ○松永裕介(九州大学)

●[11:00-12:00]セッション10A VDECデザイナーズフォーラム/DAS合同基調講演
(10A-1) IoT時代のLSI技術の役割と期待 ~新サービス創出のイネーブラとして~
    森村浩季(NTT)

●[12:00-12:10]DAシンポジウム2015閉会の挨拶
 
 

論文募集要項((募集は終了しました))

------------------------------------------------------------------------
DAシンポジウム 2015
論文募集
- システムとLSIの設計技術 -
------------------------------------------------------------------------

 システムやLSIの設計技術は、情報家電、携帯機器、コンピュータ、ネットワークシステムなど現在および将来の電子装置の進歩を支える設計のキーテクノ ロジであります。そのテクノロジを支える中心的技術の一つである設計自動化(DA)技術は、RTL・論理レベル、レイアウト、テストといった各分野におい て飛躍的な進歩を遂げてきました。近年、集積回路微細化技術の進展によりシステムLSIが実現可能となり、従来のDA分野にソフトウェア、ハードウェア協 調設計や組み込みシステム設計方法論などが加わり、システムレベル設計技術とよばれる新たな分野を形成しております。また、実際の設計に適用してシステム やLSIの性能評価などにも関心が高まりつつあります。設計言語、設計資産(IP)などの国際的な標準化活動も活発化しております。
 本シンポジウムではこうしたシステムとLSIの設計技術全般の進展に貢献するべく、最新の技術動向や研究内容などの情報交換の活性化を目的として発表と討論の場を提供するものです。
 なお、本シンポジウムはVDECデザイナーズフォーラム2015と連続開催し、ソフトウェアを含むシステムからLSIの試作評価まで含めた広い設計技術に関して、討論を行うことができます。
 本シンポジウムに関する最新情報は、DAシンポジウムのWebページでご覧になれます。
http://www.sig-sldm.org/das

日  程
  2015年8月26日(水)~8月28日(金)
会  場  山代温泉 ゆのくに天祥(石川県加賀市山代温泉)
主  催  情報処理学会 システムとLSIの設計技術研究会(SLDM)
 
---------------------------------------------------------------------------
■ 論文分野

  システム設計技術
  • 設計手法 要求仕様定義, モデルベース設計, ソフトウェア開発手法, PCB・実装設計
  • 設計自動化 (DA)
    要求仕様定義 要求仕様記述・検証,システム仕様記述・ソフト記述生成
    システム仕様レベル システム仕様記述, システム性能見積り, トランザクションレベルモデリング (TLM), システムテスト・診断, 並列処理アルゴリズム
    アーキテクチャレベル ハード/ソフト協調設計・検証, 高位合成, インタフェース合成など
  • 設計事例・教育 UML適用, システム性能評価, リアルタイムOS, NoC, リコンフィギャラブル, 耐故障設計・診断, ネットワーク応用, システム応用 (車載, 電源網解析など), システム設計教育
    LSI設計技術
  • 設計手法 シグナルインテグリティ,IP・再利用設計,ライブラリ化,低消費電力, 熱解析
  • 設計自動化 (DA)
    機能・論理レベル  HDL,RTL・論理シミュレーション,論理エミュレーション,論理合成,形式検証,タイミング解析・検証
    物理設計 フロアプラン・配置配線,レイアウト検証,シグナルインテグリティ,リソグラフィ,回路シミュレーション,EMC
    テスト テストパタン生成,故障解析,診断,テスト容易化設計等
  • 設計事例・教育 SiP/PoP,3D-IC,高周波設計,新世代メモリ設計,LSI設計教育
    アルゴリズムデザインコンテスト
  • ナンバーリンク*1パズルの解法/ソルバ

発表形式
 一般講演セッション、ポスターセッション、一般講演およびポスターセッションの3形式とします。
 ポスターセッションでのノートPC等の持ちこみによるデモンストレーションを希望する場合は、研究発表申込書にその旨を記載願います。別途検討します。
 一般講演、ポスターセッションともに、発表分野を限定しません。一般講演での設計事例発表、ポスターセッションでの設計技術の発表も歓迎します。
 また、複数または単独の企業ならびに大学で、特別セッションを設けて発表を希望する場合は、研究発表申込書にその旨を記載願います。できる限り希望に添うようにセッション編成する方針です。
 なお、シンポジウム運営上、一般講演セッションのみ、またはポスターセッションのみを希望した場合でも、ポスターセッションまたは一般講演、もしくはその両方への変更をお願いすることがありますので、ご了承の程お願いします。


アルゴリズムデザインコンテスト
 昨年に引き続き、ナンバーリンク*1パズルの解法と速度を競うアルゴリズムデザインコンテストを実施致します。昨年同様、ナンバーリンクを自動で解くシステム(ソフト/ハード)を開発いただきますが、今年はさらに盛り上げるために、問題設定・競技方法の双方について変更を加えます。詳細につきましては、「アルゴリズムデザインコンテスト開催概要」(http://www.sig-sldm.org/designcontest.html)を参照下さい(2015年の情報は5月ころ公開予定。2014年の様子もご覧いただけます)。
 開発されたシステムの性能と、ポスター発表で審査・表彰します。また、論文も投稿し、一般講演セッションで発表することも可能です(任意)。
*1「ナンバーリンク」は株式会社ニコリの登録商標です。


応募方法
  1. Webフォームによる申込とします。
    下記 URL のフォームに、アブストラクト(300字程度)、投稿論文の分野及びキーワード(5ワード以内)、発表形式、その他必要事項を記載の上、ご投稿ください。
    折り返し、申込受信のメールを自動送信いたします。万一、左記メールが届かなかった場合、お手数ですが 末尾に記載の【申込/照会先】まで、お電話にてご連絡ください。
    https://www.ipsj.or.jp/02moshikomi/event/event-da2015-toukou.html ※投稿申込は締め切りました

  2. 採録された場合、下記期日までに論文を提出してください。論文は6頁とします。ただし、ポスターセッションのみの場合に限り2~6頁とします。DAシンポジウム原稿執筆用スタイルファイル(Webページ http://www.sig-sldm.org/das に掲載)を使用してご作成ください。

スケジュール
 論文(アブストラクト)応募締切  2015年 5月15日(金)
 採否通知          2015年 6月 5日(金)
 カメラレディ最終論文提出締切 2015年 7月10日(金)


その他
 参加申込方法については、詳細が決まり次第掲載いたします。


申込/照会先
 〒101-0062
 東京都千代田区神田駿河台1-5 化学会館4F
 一般社団法人情報処理学会 シンポジウム係
 E-mail:sig”at”ipsj.or.jp   Tel (03)3518-8372  Fax (03)3518-8375