6G-07
Verilog HDLで記述するRISC-V命令セットのアウトオブオーダ実行プロセッサ
○藤浪 将,眞下 達,吉瀬謙二(東工大)
教育,研究用プロセッサは中身がわかりやすいことが重要である.自由に使えるオープンな命令セットとして,RISC-Vがある.しかし,RISC-Vのインオーダ実行プロセッサであるRocket Coreは教育用でありながら,Chiselといわれる独自の言語で記述されており,可読性が低く,改良しにくいという欠点がある.一方,オープンソースのアウトオブオーダ実行プロセッサはまだ数が少なく,教育,研究ともに需要があると考えられる.そこで我々は,FPGA上で動作する,RISC-Vを用いたアウトオブオーダ実行のプロセッサを一般的なVerilog HDLで開発する.

footer 著作権について 倫理綱領 プライバシーポリシー セキュリティ 情報処理学会